Posted in | News | Materials Processing

Nordson MARCH StratoSPHERE Plasma Treatment System Expands Up to 6 Chambers for Increased Throughput and Flexibility

Nordson MARCH, a Nordson company (NASDAQ:NDSN), a global leader in plasma processing technology, announces that its StratoSPHERE™ Series plasma treatment equipment for wafer-level packaging and 3D packaging applications can now be configured in two, four, and even six chamber configurations for increased throughput and flexibility.

The SPHERE™ plasma systems provide surface preparation and elimination of contamination for ashing, descum, bump, organic contamination removal, and wafer destress during semiconductor manufacturing operations, especially for applications such as 2.5D and 3D wafer-level fan-out.

The StratoSPHERE system’s patented plasma chamber design delivers exceptional ashing uniformity and process repeatability. The system handles semiconductor wafers up to 300mm (12 in.) in diameter and supports automated handling and processing of round or square wafers. It can process thin wafers with or without a carrier, depending upon the wafer thickness.

“Nordson MARCH continues to enhance the SPHERE Series of plasma treatment systems to meet the demands of evolving semiconductor packaging like 2.5D and 3D fan-in and fan-out applications,” said Jonathan Doan, director of marketing, Nordson MARCH. “The new StratoSPHERE configurations are more flexible in terms of chamber layout and location compared to other plasma systems on the market today. Our equipment is flexible and ideal for a variety of plasma treatment processes.”  

Nordson MARCH technical experts will be in booth #450 at SEMICON Taiwan 2015, in the TWTC Nangang Exhibtion Hall, Taipei, Taiwan, September 2-4 to discuss your applications. For more information or to schedule an appointment, contact Nordson MARCH at [email protected], call +1.925.827.1240, or visit our website at www.nordsonmarch.com.

About Nordson MARCH

Nordson MARCH is the global leader in plasma processing technology for the semiconductor, printed circuit board (PCB), microelectronics, and medical & life science device manufacturing industries. Nordson MARCH has offices and laboratories worldwide, including California, Europe, Singapore, China, Japan, Korea and Taiwan. With over 25 years of continuous innovation, Nordson MARCH designs and manufactures a complete line of award-winning and patented plasma treatment systems.  An expert staff of scientists and engineers is available to assist development of plasma processes that improve product reliability and increase production yields.  Visit Nordson MARCH at www.nordsonmarch.com.

About Nordson Corporation

Nordson Corporation delivers precision technology solutions that help customers succeed worldwide. Precision dispensing of adhesives, coatings, sealants, biomaterials, and other fluids, plastic extrusion and injection molding, electronics testing and inspecting, and surface preparation are supported by applications expertise and direct global support. Nordson serves consumer non-durable, durable and high-technology markets, specializing in packaging, nonwovens, electronics, medical, energy, transportation, construction, and product assembly. Founded in 1954, headquartered in Westlake, Ohio, USA, Nordson has operations and support offices in 30+ countries. Connect with Nordson at www.nordson.com and on social media.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Nordson MARCH. (2019, February 08). Nordson MARCH StratoSPHERE Plasma Treatment System Expands Up to 6 Chambers for Increased Throughput and Flexibility. AZoM. Retrieved on April 25, 2024 from https://www.azom.com/news.aspx?newsID=44354.

  • MLA

    Nordson MARCH. "Nordson MARCH StratoSPHERE Plasma Treatment System Expands Up to 6 Chambers for Increased Throughput and Flexibility". AZoM. 25 April 2024. <https://www.azom.com/news.aspx?newsID=44354>.

  • Chicago

    Nordson MARCH. "Nordson MARCH StratoSPHERE Plasma Treatment System Expands Up to 6 Chambers for Increased Throughput and Flexibility". AZoM. https://www.azom.com/news.aspx?newsID=44354. (accessed April 25, 2024).

  • Harvard

    Nordson MARCH. 2019. Nordson MARCH StratoSPHERE Plasma Treatment System Expands Up to 6 Chambers for Increased Throughput and Flexibility. AZoM, viewed 25 April 2024, https://www.azom.com/news.aspx?newsID=44354.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.