Deposition of High Quality Films by the Inductively Coupled Plasma CVD Process

An extensive range of insulating thin films are utilized in modern VLSI circuits providing electrical isolation between conducting regions within a device and as a final capping passivation layer. Silicon nitride, silicon dioxide, and oxy nitrides are widely used.

Various deposition methods are available based on deposition temperature. Atmospheric pressure, chemical vapor deposition and low pressure chemical vapor deposition methods typically require increased temperatures in the region of greater than 400 °C whereas the use of plasma enhanced chemical vapor deposition (PECVD) typically requires deposition temperatures of less than 400 °C.

Researchers are striving to deposit high density dielectric films at even lower temperatures lesser than 150 °C, especially in temperature-sensitive devices such as organic LEDs. By using the ICP-CVD method, Oxford Instruments have developed a deposition process in which high quality films can be deposited with high density plasma, low deposition pressures and temperatures.

Experimental Procedure

Most commonly, a parallel plate reactor is used in which the sample is arranged on a grounded bottom electrode and radio frequency voltage is applied to the upper electrode. This forms a glow discharge between the two plates and the gases flow radially through the discharge. The bottom electrode is heated to 100-400°C and this method is usually referred to plasma enhanced chemical vapour deposition (PECVD).

For deposition of high-density dielectric films at even lower temperatures of less than 100°C, OIPT has designed a high- density-plasma (HDP) source in which the plasma electrons are excited in a direction parallel to the chamber boundaries. The HDP source used is the inductively coupled plasma (ICP) chamber, in which the plasma is driven by a magnetic potential set up by a coil wound outside dielectric walls the design is shown in Figure 1. The electron current direction is opposite to that of the coil currents which are, by design, parallel to the chamber surfaces.

When the plasma is excited, the operating pressure can subsequently be lowered. The lower limit of the pressure is controlled by the efficiency of the particular source. In most materials, processing plasmas the electron heating is primarily resistive, and the impedance of the plasma scales with the density of neutrals available for inelastic collisions. As the impedance pressure is lowered so is the ability of the source to drive the plasma.

OIPT ICP-CVD system

Figure 1. OIPT ICP-CVD system

For plasma depositions there are additional system features:

  • The inductively coupled coil is linked to a 13.56 MHz, 3.0kW RF generator through a matching unit.
  • The ICP coil power controls the dissociation of the plasma and the density of the incident ions in the chamber.
  • The lower electrode is powered separately by another 13.56 MHz 300W generator, which enables independent control of the bias voltage, which is the energy of the ions on the sample.
  • In order to minimize the plasma-induced damage during deposition processes and the stress level in deposited films, the ICP-CVD system has been operated in a purely "ICP" mode by applying RF power of 100 to 2000 W to only the ICP coil, but no RF power on the lower electrode.
  • Helium pressure was applied to the rear of the wafers to offer good thermal contact between the chuck and the wafer.
  • The system has accurate control over substrate temperature from -150°C to +400°C by using liquid nitrogen. This broad temperature range is essential for the advanced plasma deposition processes of different substrate materials.
  • Pure silane (100% SiH4) is introduced into the deposition chamber through a gas distribution ring. Other gases such as N2 and N2O are introduced into the ICP source chamber
  • Automatic pressure controller (APC) is used to control the pressure from 2 to 20mTorr.

Process Results

ICPCVD can be utilized to deposit a number of materials such as SiO2, SiNx, SiOx Ny, a-Si and SiC. In this paper, the focus will be on the ability to deposit high quality SiO2 and SiN films at substrate temperature as low as 20°C. In an ICP-CVD chamber, the silicon dioxide films are deposited by causing a reaction between silane, introduced through the gas distribution ring and nitrous oxide, introduced through the ICP source. Additionally silicon nitride films are deposited using silane, introduced through the gas distribution ring and nitrogen, introduced through the source. Process parameters discussed include deposition rate, film thickness uniformity, refractive index, film stress, wet etch rates, and breakdown voltage.

ICP-CVD Tools from Oxford Instruments

Table 1. ICP-CVD Tools from Oxford Instruments

Feature
System 80Plus
System100
System100
System133
ICP
ICP65
ICP-CVD180
ICP-CVD380
ICP-CVD380
Electrode size
240mm
240mm
240mm
Up to 330mm
Loading
Open Load
Load locked
Load locked
Load locked
Substrates
50mm wafers
150mm with carriers options available for multi-wafers or small pieces
200mm with carriers options available for multi-wafers or small pieces
Up to 300mm with carriers options available for multi-wafers or small pieces
Dopants
No
Various dopants available which include PH3, B2H6, GeH4
Various dopants available which include PH3, B2H6, GeH4
Various dopants available which include PH3, B2H6, GeH4
Liquid Precursors
No
No
No
No
MFC controlled gaslines
8 or 12 line gas box available
8 or 12 line gas box available
8 or 12 line gas box available
8 or 12 line gas box available
Typical Wafer stage temperature range
20°C to 400°C
0°C to 400°C
0°C to 400°C
0°C to 400°C
Insitu plasma clean
Yes
Yes
Yes
Yes

Deposition Rate

Conventionally, ICP-CVD processes results in lower deposition rates than PECVD films. Typical deposition rates for silicon oxide and silicon nitride are more than 8nm/min but higher deposition rates are now possible. Similarly, many process parameters are adjusted in order to control the process. Figures 2 and 3 below show typical deposition rate trends with a range of process parameters.

Effect of ICP power, pressure and silane flow on ICP-CVD SiNx deposition rate

Figure 2. Effect of ICP power, pressure and silane flow on ICP-CVD SiNx deposition rate

Effect of ICP power, pressure and silane flow on ICP-CVD SiO2 deposition rate

Figure 3. Effect of ICP power, pressure and silane flow on ICP-CVD SiO2 deposition rate

Refractive Index

The refractive index can be controlled by altering the ratio of the Si:N for silicon nitride deposition or Si:O for the silicon oxide deposition. Silicon nitride films have typical refractive index of 2.00 at 633 nm although this value can be adjusted by altering the silane and nitrogen flows. Silicon dioxide films have a typical refractive index of 1.46. The RI value can be modified by varying the silane and nitrous oxide flows. In both films a higher refractive index value usually indicates a silicon rich film. Figure 4 and 5 below show the relationships of refractive index with different gas flow ratios.

Variation of refractive Index with SiH4:N2 gas ratio

Figure 4. Variation of refractive Index with SiH4:N2 gas ratio

ariation of refractive index with SiH4:N2O gas ratio

Figure 5. Variation of refractive index with SiH4:N2O gas ratio

Film Stress (MPa)

In certain applications such as MEMS the ability to control film stress is very essential. Film stress is usually calculated by measuring the curvature change pre- and post-deposition of the film. This curvature difference as a result of film deposition is used to calculate stress based on Stoney’s equation, which relates the biaxial modulus of the substrate, thickness of the film and substrate, and the radius of curvatures of pre- and post-process.

In ICP-CVD silicon nitride and silicon oxide depositions the film stress can be controlled by modifying various parameters as listed below

  • Process pressure has a great impact on the silicon nitride film stress and is shown in Figure 6a below. By increasing the process pressure, the film stress is controlled from compressive to tensile.
  • ICP-CVD silicon oxide films show compressive stress. The film stress can be adjusted by changing a combination of parameters including SiH4:N2O ratio, temperature and RF power. Figures 6b and 6c below shows the impact of SiH4:N2O gas ratio and temperature with film stress. Low compressive film stress can be obtained by increasing the SiH4:N2O gas ratio and decreasing the deposition temperature.

Variation of SiNx film stress with process pressure

Figure 6a. Variation of SiNx film stress with process pressure

Variation of SiO2 film stress with temperature

Figure 6b. Variation of SiO2 film stress with temperature

Variation of SiO2 film stress with SiH4:N2O gas ratio

Figure 6c. Variation of SiO2 film stress with SiH4:N2O gas ratio

Wet Etch Rates

Film quality is exhibited by wet etching, normally carried out with buffered oxide etchants (BOE), which are typically blends of 49% hydrofluoric acid (HF) and 40% ammonium fluoride (NH4F) in various predetermined ratios. Typically, BOE buffered oxide etchants are used to etch window openings in silicon dioxide layers. The primary application is the etching of thermal oxide layers in IC production. The etch rate of the film by aqueous NH4F/HF solutions in the presence or absence of surfactant additives, is based on three primary factors:

  • NH4F range,
  • etching temperature, and
  • specific HF content.

Standard BOE etchants (40% NH4F/ 49% HF blends) contain over 30% NH4F, a range where the HF content has a primary influence on the etch rate. A low etching rate film normally indicates a high density film. Figures 7 and 8 shows wet etch rate data of SiNx and SiO2 deposited using both ICP-CVD and conventional PECVD. The data shows that films deposited at low temperatures using ICP-CVD and provides comparable film process performance with films deposited using high temperature conventional parallel plate PECVD at 300 °C.

Variation of SiNx wet Etch rate with electrode temperature

Figure 7. Variation of SiNx wet Etch rate with electrode temperature

Variation of SiO2 wet etch rate with electrode temperature

Figure 8. Variation of SiO2 wet etch rate with electrode temperature

Breakdown Voltage

The breakdown voltage is usually measured by applying a ramped voltage across the dielectric film. The film is normally deposited on a conductive bottom layer, either a doped Si wafer, or a metal layer along with a metal layer deposited on top of the deposited film. The metal layer is usually patterned either through a shadow mask or by lift-off to form small test pads, typically less than 1 x 1 mm. It is important that the interfaces are flat and smooth to ensure that the breakdown voltage is not significantly reduced. The voltage is ramped up until a high current peak is observed (i.e. breakdown of the film). The voltage needed depends on the film thickness (for instance, 6MV/cm = 120Volts across a 2000Å thick film).

In ICP-CVD film depositions the electrical characteristics of SiNx deposited at low temperatures (~RT) have shown breakdown electrical fields of more than 3x106 Vcm-1 with low leakage currents. Table 2 below shows the effect of temperature on the breakdown voltage of ICP-CVD SiNx deposited films. In addition ICP-CVD SiO2 also shows high breakdown voltage when deposited at low temperatures. Figure 9 shows the breakdown electrical fields of > 8 MV/cm when the SiO2 film was deposited at 150°C. In comparison a typical SiO2 film deposited by PECVD at 300°C results in an electrical breakdown electrical fields in the range of more than 5-6MV/cm.

Table 2. ICP-CVD SiNx typical breakdown voltage values

Temperature ºC Breakdown Voltage ICP-CVD MV/cm Breakdown Voltage PECVD MV/cm
20 >3 -
150 >7 >3
200 - >4
300 - >5

Variation of current density with electric field for ICP-CVD SiO2 film deposited 120°C.

Figure 9. Variation of current density with electric field for ICP-CVD SiO2 film deposited 120°C. The results show breakdown voltage ~>8MV/cm.

Step Coverage

The step coverage is the ratio of film thickness along the walls of a step to the thickness of film at the bottom of the step. This is referred to S/T and/or S/B in the figure below. For conformal coverage the ratio of S/T and/or S/B is 1. Typically good step coverage is achieved by using high temperatures of greater than 300°C however, it is possible to achieve excellent step coverage at low temperature using ICP- CVD. The figure below shows ICP-CVD SiNx film coverage when deposited at 20°C. In addition the step coverage also depends on the step height and width.

(Above) Definition of step coverage

Figure 10a. (Above) Definition of step coverage

(Right) SEM images of cross section of 50 nm ICP–CVD SiN deposited at 22°C on 150 nm metal with good step coverage.

Figure 10b. (Right) SEM images of cross section of 50 nm ICP–CVD SiN deposited at 22°C on 150 nm metal with good step coverage.

Film Thickness Uniformity

Process improvements have also been made in which improved film thickness uniformity has been achieved based on the new patented hardware design. The novel hardware design also allows the user the ability to deposit layers over larger areas with excellent film thickness uniformity. The patented hardware design is based on a new style showerhead design known as a transmission plate. The transmission plate is then placed in the chamber and is arranged between the high density plasma source and the substrate.

The transmission plate has been optimized by adjusting the hole sizes and distribution in order to achieve maximum film thickness improvement. The transmission plate is made of the aluminium alloy 6082 with sufficient thickness to align the plate close to the chamber temperature by lateral conduction, even when running with high ICP powers. In order to achieve optimum film thickness uniformity for silicon nitride and silicon oxide depositions, two different variants of the plates were required.

 

Figures 11 and 12 (below) show two different transmission plates for an ICP180 source.

Image of the silane gas ring and gas transmission plate inside the process chamber during a plasma process

Figure 11. Image of the silane gas ring and gas transmission plate inside the process chamber during a plasma process

Two gas transmission plates. (a) Transmission plate 1 is optimised to deposit SiO2. (b) Transmission plate 2 is optimised to deposit SiNx

Figure 12. Two gas transmission plates. (a) Transmission plate 1 is optimised to deposit SiO2. (b) Transmission plate 2 is optimised to deposit SiNx

Figure 13 shows a larger transmission plate which is required for the ICP380 source in order to deposit ICP-CVD films with substrates up to 300mm with excellent film thickness uniformity.

 

Transmission plate used with the ICP380 source

Figure 13. Transmission plate used with the ICP380 source

Figure 14 and 15 show an example of SiNx film thickness distribution over 100 mm and 200mm silicon wafer, using an ICP180 and an ICP380 source respectively. Oxford Instruments’ ICP-CVD systems now offer these improved process enhancements and users will also be able to easily upgrade their existing ICP-CVD system in order to able to achieve even better film performance.

 

ICP-CVD SiNx film thickness uniformity over 100mm using a System100 with an ICP180 source

Figure 14. ICP-CVD SiNx film thickness uniformity over 100mm using a System100 with an ICP180 source

ICP-CVD SiNx film thickness uniformity over 200mm using a System100 with an ICP380 source

Figure 15. ICP-CVD SiNx film thickness uniformity over 200mm using a System100 with an ICP380 source

Typical film thickness uniformity performance for low temperature depositions also depends on the ICP source used. Table 3 shows the different film thickness uniformity depending on the ICP source.

High Deposition Rate Depositions

Deposited films such as Silicon nitride and silicon oxide are used in HBLEDS to passivate the final devices. Current methods include batch PECVD processing which has a typical load of up to 8 x 4” substrates with a growth rate of 14-15 nm/min. Considerable amount of interest recently has been directed towards single wafer LED processing which requires higher deposition rates to maintain throughput requirements. It is also known that the deposition temperature must also be kept as low as possible. These requirements restricts the ability of traditional PECVD which require high temperatures and low deposition rates in order to allow high quality material to be deposited, probably through allowing sufficient time for excess hydrogen to outgas from the growing film.

It has been recently possible to achieve higher deposition rates of more than 140nm/min at the same low temperatures, whilst maintaining good film quality, film thickness uniformity and film stress control. These recent advances have shown the capability of ICP-CVD in achieving high quality films at low temperatures with high throughput. The higher deposition rate processes were achieved by increasing the ICP power and gas flow mixture as shown in figure 16 below. The gas flow ratio for SiN and SiO2 deposition were then adjusted in order to tune the refractive index as shown in Figure 17.

Variation of deposition rate with total gas flows for ICP-CVD SiNx deposited at 150°C

Figure 16. Variation of deposition rate with total gas flows for ICP-CVD SiNx deposited at 150°C

Variation of deposition rate versus total gas flows for ICP-CVD SiO2 deposited at 150°C

Figure 17. Variation of deposition rate versus total gas flows for ICP-CVD SiO2 deposited at 150°C

Table 3. Typical ICP-CVD film thickness uniformities

ICP Source Wafer Size
50mm 100mm 150mm 200mm
ICP65
<±6%
-
-
-
ICP180
<±2%
<±3%
<±5%
-
ICP380
<±1%
<±2%
<±3%
<±5%

Process Repeatability

One of the most essential factors of a deposition system is the ability to deposit the same film over and over again. The repeatability and stability of the ICP-CVD process in which tests have been carried out by depositing high deposition rate SiO2 (>140nm/min) at low temperatures (<150°C) on 75 x 100mm wafers. Results are shown in figure 18, 19, and 20 below.

Wafer to wafer deposition rate repeatability of <+/-2% with film thickness uniformity of <+/-3% over 100mm wafer

Figure 18. Wafer to wafer deposition rate repeatability of <+/-2% with film thickness uniformity of <+/-3% over 100mm wafer

Wafer to wafer refractive index repeatability of <+/-0.3%

Figure 19. Wafer to wafer refractive index repeatability of <+/-0.3%

ICPCVD SiO2 film stress repeatability over 75 wafers

Figure 20. ICPCVD SiO2 film stress repeatability over 75 wafers

ICP-CVD Amorphous Silicon and ICP-CVD Silicon Carbide

In addition to SiO2, SiOxNy and SiNx layers ICP-CVD can also be used to deposit other materials such as amorphous silicon (undoped and doped) and silicon carbide. Amorphous silicon is usually deposited using pure silane with small flows of argon in order to help strike the plasma. Furthermore, hydrogen is also used in order to improve the film quality. Dopants can be added in the form of phosphorus and boron in order to change the conductivity of the layer which is particular important in photovoltaics applications. Figure 21 below shows the effect of Phosphorous flow on deposition rate for ICP-CVD amorphous si layers. ICP-CVD can also be utilized to deposit silicon carbide. Silane is normally mixed with methane and argon is also used to help with plasma striking. The refractive index of the SiC can be tuned by adjusting the gas flow ratio of silane to methane. Figure 22 and 23 shows the relationship between refractive index, film stress and methane/silane gas flow ratio.

Effect of phosphorous gas flow on ICP-CVD a-Si deposition rate

Figure 21. Effect of phosphorous gas flow on ICP-CVD a-Si deposition rate

Variation of refractive index with methane/silane gas flow ratio

Figure 22. Variation of refractive index with methane/silane gas flow ratio

Variation of film stress with methane / silane gas flow ratio

Figure 23. Variation of film stress with methane / silane gas flow ratio

IP-CVD Plasma Cleaning

In ICP-CVD processing, a major proportion of the tool time is devoted to plasma cleaning using etching gases to clean the process chamber. There are a number of clean gases available such CF4, C3F8, C2F6 and NF3. However in ICP chambers, normally SF6 is used due to ability to achieve higher etching rates, cleaner by products and experienced etching processes that have been modified in order to successfully clean inside the chamber. Alternative gases which have been used are CF4 and C3F8. The clean gases whether its SF6 or CF4 is usually used with either O2 or N2O in order to reduce the by products formed after the clean. Typical thickness and cleaning guidelines are shown below:

  • Cleaning should be carried out after more than 5microns of film has been deposited.
  • Cleaning time depends on type and thickness of film deposited.
  • Typical cleaning time is 2 hours for 6-8 microns of film deposition.

Following a plasma chamber clean it is important to run a pump purge recipe in order to minimise particulates. A typical sequence is shown below:-

Repeat 30 times/1min pump/1min N2 purge, 100 sccm, 50 mT/Loop The chamber needs regular conditioning of the chamber in order to achieve a repeatable process. It has been observed that approximately 0.5 microns of deposition is required for conditioning. Figure 24 shows how the deposition rate and refractive of the process stabilises after a chamber plasma clean and chamber conditioning.

 

Effect of chamber conditioning on process repeatability

Figure 24. Effect of chamber conditioning on process repeatability

Surface Precleaning

A plasma pre-treatment process can be applied to a particular surface so as to avoid delamination of the deposited films especially when the film comes under some thermal or mechanical stress. Good adhesion of the deposited films onto the underlying material depends on the surface type and also the type of residues on the surface. Oxygen- based plasma pre-clean has the greater effect in removing organic residues whereas a hydrogen based plasma preclean has the greater effect removing inorganic residues. If a substrate material other than Silicon is used, a plasma pre treatment process is essential to achieve good film properties. For example, adhesion and quality of the deposited film can be improved by applying a hydrogen based pre clean process prior film deposition. This has been carried out by using an ammonia/nitrogen plasma pre clean where the ammonia dissociates into nitrogen and hydrogen and the resulting hydrogen reacts with the underlying surface rendering a hydrogenated surface, which provides a good interlayer between film and substrate. The subsequent deposited film then shows good film properties such as good adhesion, low pinholes and good electrical characteristics.

Conclusions

In this paper we have shown that ICP-CVD can be used to deposit various materials including SiO2, SiNx, a-Si and SiC. By using the ICP-CVD technique high quality films are deposited with high density plasma, low deposition pressures and temperatures which results in minimizing film contamination, enhancing film stoichiometry, minimizing radiation damage by direct ion-surface interaction, and preventing device degradation at high temperatures.

Oxford Instruments Logo

This information has been sourced, reviewed and adapted from materials provided by Oxford Instruments Plasma Technology.

For more information on this source, please visit Oxford Instruments Plasma Technology.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Oxford Instruments Plasma Technology. (2023, February 08). Deposition of High Quality Films by the Inductively Coupled Plasma CVD Process. AZoM. Retrieved on April 28, 2024 from https://www.azom.com/article.aspx?ArticleID=5953.

  • MLA

    Oxford Instruments Plasma Technology. "Deposition of High Quality Films by the Inductively Coupled Plasma CVD Process". AZoM. 28 April 2024. <https://www.azom.com/article.aspx?ArticleID=5953>.

  • Chicago

    Oxford Instruments Plasma Technology. "Deposition of High Quality Films by the Inductively Coupled Plasma CVD Process". AZoM. https://www.azom.com/article.aspx?ArticleID=5953. (accessed April 28, 2024).

  • Harvard

    Oxford Instruments Plasma Technology. 2023. Deposition of High Quality Films by the Inductively Coupled Plasma CVD Process. AZoM, viewed 28 April 2024, https://www.azom.com/article.aspx?ArticleID=5953.

Ask A Question

Do you have a question you'd like to ask regarding this article?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.