Imec World First to Demonstrate 2 Metal Layer Back-End-Of-Line for the 3nm Technology Node

This week, at its technology forum ITF USA 2019, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, presents a dual-damascene 21nm pitch test vehicle relevant for manufacturing the 3nm logic technology node.

With this test vehicle, a 30 percent improvement in resistance-capacitance product (RC) was obtained compared to previous generations, without impacting reliability. The need for implementing scaling boosters such as self-aligned vias and self-aligned blocks in 3nm and beyond interconnect technologies has been demonstrated.

Measured resistance per link for 100 via chains with 21nm metal pitch shows excellent agreement with simulation (left) and elemental mapping of Ru lines and vias (right)

While the dimensional scaling of traditional front-end technologies is expected to slow down, the back-end-of-line dimensions keep on scaling with ~0.7X to keep up with the required area scaling. For the 3nm logic technology node, M2 interconnect layers with metal pitches as tight as 21nm need to be manufactured while preserving the back-end-of-line’s performance. This implies a tight control of the RC delay, while maintaining good reliability.

Imec for the first time demonstrated a dual-damascene 21nm metal pitch test vehicle that is relevant for the 3nm technology node. The measured RC shows a 30 percent improvement compared to previous generations. The test vehicle also performs well in terms of reliability: no electromigration failures were observed after 530 hours at 330°C, and dielectric breakdown (TDDB) measurements demonstrated a time-to-failure >10 years at 100°C.

To pattern the M2 layer, a hybrid lithography approach was proposed, using 193nm immersion-based self-aligned quadrupole patterning (SAQP) for printing the lines and trenches, and extreme ultraviolet lithography (EUVL) for printing the block and via structures. The test vehicle implemented a barrier-less ruthenium (Ru) metallization scheme and an insulator with dielectric constant k = 3.0.

First results also demonstrate that the proposed interconnect technology can be improved by adding scaling boosters, including buried power rail, SuperVia, self-aligned blocks, fully self-aligned vias and double self-aligned blocks.

Source: https://www.imec-int.com/en/home

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    IMEC. (2019, July 12). Imec World First to Demonstrate 2 Metal Layer Back-End-Of-Line for the 3nm Technology Node. AZoM. Retrieved on April 19, 2024 from https://www.azom.com/news.aspx?newsID=51676.

  • MLA

    IMEC. "Imec World First to Demonstrate 2 Metal Layer Back-End-Of-Line for the 3nm Technology Node". AZoM. 19 April 2024. <https://www.azom.com/news.aspx?newsID=51676>.

  • Chicago

    IMEC. "Imec World First to Demonstrate 2 Metal Layer Back-End-Of-Line for the 3nm Technology Node". AZoM. https://www.azom.com/news.aspx?newsID=51676. (accessed April 19, 2024).

  • Harvard

    IMEC. 2019. Imec World First to Demonstrate 2 Metal Layer Back-End-Of-Line for the 3nm Technology Node. AZoM, viewed 19 April 2024, https://www.azom.com/news.aspx?newsID=51676.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.