Selective Reactive Ion Etching of P-Doped Polysilicon Using a Cl2/HBr Mixture

This note presents a novel reactive ion etching process for p-doped polysilicon using a Cl2/HBr mixture with multiple processing steps. The anisotropy and smoothness of the etched wall seem to be excellent. The etching properties of polysilicon and mask materials are also discussed.

Details of the Experiment

A method for carrying out selective, smooth, and anisotropic etching of p-doped polysilicon is described.

Apparatus Used

A standard 13.56 MHz driven parallel plate reactive ion etcher (Trion Technology Minilock II), which allows internal anodization for use with chlorine was utilized for this experiment. Some features of the Minilock II are listed below:

  • The biased electrode has a diameter of 200 mm and can be cooled or heated using a liquid heat exchange system.
  • The diameter of the ground electrode is 400 mm.
  • The lower electrode temperature was maintained lower than 75ºC for a photoresist mask application.
  • A range of combinations of Cl2 and HBr have been considered with RIE power varying between 100 W to 400 W and process pressures varying from 10 mTorr to 500 mTorr as shown in Figure 1.

Etch rate of p-doped polysilicon against RIE power

Figure 1. Etch rate of p-doped polysilicon against RIE power

Experimental Observations

Preliminary etching experiments were conducted in the absence of HBr in order to determine the process pressure and RIE power. These two parameters have a significant effect on the anisotropy and etch rate. Increased etch rates are seen at higher pressure and bias power. At higher pressures, mask undercutting is observed. The etch rate of p-doped polysilicon against RIE power is shown in Figure 2.

Etch rate of p-doped polysilicon against RIE power

Figure 2. Etch rate of p-doped polysilicon against RIE power

RIE-Etching

The findings of the experiment are listed below:

  • For pressures less than 50 mTorr anisotropic etching was observed.
  • The photoresist selectivity of the chlorine-based process was just 1.5:1 at lower pressures.
  • However the selectivity to the silicon dioxide layer lying underneath was measured to be 6:1. The chlorine-based process enabled penetration through the native surface oxide layer with considerable ease, making it appropriate for the first step of a multistep process.
  • The etched surface had a fine finish, but the sidewalls were inclined due to the etch-back of the photoresist mask. RIE- etched p-doped polysilicon structures are shown in Figure 3.

SEM photographs of RIE-etched p-doped polysilicon structures (2 µm etch depth)

Figure 3. SEM photographs of RIE-etched p-doped polysilicon structures (2 µm etch depth)

RIE-Etching Using ICP

Bias powers above 400 W caused the photoresist to carbonize after around 1 min of processing It was believed that the addition of an inductively coupled plasma (ICP) head will increase the etch rate and enhance the anisotropy. Observations made using the ICP are listed below:

  • Strangely, when the bias power was 400 W the etch rate was significantly lower than without the ICP.
  • The ICP power had to be set at 600 W to ensure that the etch rate with the ICP is the same as the etch rate without the ICP.
  • The increased volume of the ICP played a major role in the decrease of the etch rate by diluting the chlorine concentration in the chamber.
  • The ICP did not improve the selectivity of the polysilicon to either silicon dioxide or photoresist. It was inferred that ICP addition did not help the process.
  • The process pressure was set at 30 mTorr. At low pressures, the etch rate was extremely slow, which did not seem practical and the mask began to show marked signs of erosion.
  • The highest etch rate was obtained with a gas flow of 50 sccm of chlorine at 30 mTorr and 400 W of power.
  • When the gas flow level dropped below 25 sccm, etch rate was observed to drastically reduce.

The observations recorded thus far led to the decision that hydrogen bromide must added to enhance the selectivity of the process to silicon dioxide. A two-stage technique is required since HBr will not be able to penetrate through the native oxide layer on the polysilicon.

RIE-Etching Using HBr

The details of the technique are listed below:

  • The first step included a 15s oxide punch-through process followed by a pure HBr process that would try to improve on the selectivity to the PR and SiO2. The etching speed of hydrogen bromide is approximately one-half that of chlorine.
  • With a gas flow of 80 sccm at the same bias power level and pressure enhanced the selectivity to the photoresist five- times to 7.5:1.
  • The HBr process was overetched on to the silicon dioxide layer that was lying below the polysilicon, for two minutes.
  • An etch step between 20 and 50 Å was measured for the silicon oxide.
  • A selectivity range between 100:1 and 250:1 was obtained. The anisotropy of the etch was greatly improved and the photoresist showed no signs of erosion. Figure 4 shows SEM images of RIE -etched p-doped polysilicon structures (2 µm etch depth) using HBr.

SEM photographs of RIE-etched p-doped polysilicon structures (2 µm etch depth) using HBr.

Figure 4. SEM photographs of RIE-etched p-doped polysilicon structures (2 µm etch depth) using HBr.

RIE-Etching Using A HBr/Cl2 Mixture

In cases where a higher etch rate is required, adding chlorine to hydrogen bromide integrates the best of the two processes to obtain a reasonably faster etch rate.

The details of this technique are listed below:

  • A 50-50 mixture of HBr and Cl2 with a total gas flow of 100 sccm at the same bias power and pressure as the previous processes will enable a modest etch rate of approximately 3500 Å per minute.
  • The selectivity to photoresist is minimized to 4:1 and the selectivity to the silicon dioxide can still be maintained by using a pure HBr overetch process to clean the surface of the silicon oxide lying below. Figure 5 shows SEM images of RIE- etched p-doped polysilicon structures (2 µm etch depth) using HBr/Cl2 mixture.

SEM photographs of RIE-etched p-doped polysilicon structures using HBr/Cl2 mixture. a. Overetch for two minutes.  b. Overetch for six minutes

SEM photographs of RIE-etched p-doped polysilicon structures using HBr/Cl2 mixture. a. Overetch for two minutes.  b. Overetch for six minutes

Figure 5. SEM photographs of RIE-etched p-doped polysilicon structures using HBr/Cl2 mixture. a. Overetch for two minutes.  b. Overetch for six minutes

In case, the sample was allowed to overetch for another 4 min after the initial 2 min that comes to a total of six minutes. This results in the surface of the silicon dioxide becoming very clean with minimal mask undercut.

Conclusion

A three stage reactive ion etching process for selectively etching p-doped polysilicon over silicon dioxide has been demonstrated using a mixture of Cl2 and HBr. The etching speed of the etch process is 3500 Å per minute with a selectivity to photoresist of around 4:1. The overetch process developed uses pure HBr to selectively etch polysilicon at a speed of approximately 100 times faster than silicon oxide. Further optimization may be possible with high power.

This information has been sourced, reviewed and adapted from materials provided by Trion Technology.

For more information on this source, please visit Trion Technology.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Trion Technology. (2019, May 07). Selective Reactive Ion Etching of P-Doped Polysilicon Using a Cl2/HBr Mixture. AZoM. Retrieved on April 20, 2024 from https://www.azom.com/article.aspx?ArticleID=5819.

  • MLA

    Trion Technology. "Selective Reactive Ion Etching of P-Doped Polysilicon Using a Cl2/HBr Mixture". AZoM. 20 April 2024. <https://www.azom.com/article.aspx?ArticleID=5819>.

  • Chicago

    Trion Technology. "Selective Reactive Ion Etching of P-Doped Polysilicon Using a Cl2/HBr Mixture". AZoM. https://www.azom.com/article.aspx?ArticleID=5819. (accessed April 20, 2024).

  • Harvard

    Trion Technology. 2019. Selective Reactive Ion Etching of P-Doped Polysilicon Using a Cl2/HBr Mixture. AZoM, viewed 20 April 2024, https://www.azom.com/article.aspx?ArticleID=5819.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this article?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.