Insights from industry

Plasma Treatment In the Manufacturing Process

Nordson MARCH's automated plasma tool innovations address the need to provide contamination-free surfaces for small and highly sensitive packaging devices. They activate surfaces for better adhesion and provide high throughput and reliable tools, ensuring minimal cycle time for higher overall productivity.

In a rapidly evolving advanced packaging market, manufacturers need the latest in technology to stay competitive. Why is Nordson’s MARCH plasma treatment needed to improve customers’ competitiveness?

Gas plasma is a powerful, efficient resource, and when used appropriately can dramatically improve the manufacturability, reliability, and yield of advanced semiconductor packages. Plasma is employed to improve the pull strength and uniformity of wire bonds; increase fillet height, fillet uniformity, and underfill adhesion for flip-chip devices; and alter surfaces for better adhesion in mold and encapsulation processes.

Nordson MARCH has designed and  manufactured plasma equipment for more than 30 years, enabling manufacturers to ensure that their packaged products are highly reliable with improved yield.

Nordson MARCH’s team of engineers is committed to the research and development of next-generation solutions that are required to address the need for full automation, better uniformity, higher throughput and the need for effective plasma/treatment of complex packaging structures, where a high amount of organic contamination or other by-products must be removed before the next process.

New packaging trends include combining multiple chips, stacking chips in a single package, increasing the size of strips, and increasing the number of packages per strip. With smaller feature-rich components, even a minute amount of contamination can impact the yield of the product. How is Nordson MARCH addressing these trends and meeting customers’ material handling requirements?

To address the trend toward miniaturization and deal with the complex manufacturing process requirements for microelectronic packages, batch-level processing cannot achieve the yield or efficiency needed for smaller, denser packages. Therefore, Nordson MARCH has developed advanced automated products to help customers move from batch-level manual handling to automated processing with strip-level configurations that maximize yield and improve product performance.

Complex wire-bonding schemes associated with stacked-die packages continue to drive customers away from manual handling to automated plasma tools. Nordson MARCH is working with customers to help reduce reliance on operators and improve productivity while also developing tools that can be integrated with customer factory automation solutions, like overhead hoist transport (OHT) systems.

What are the key benefits of the Nordson MARCH FlexTRAK-SHS to help customers maintain profitability in an increasingly complex manufacturing process?

Built upon Nordson MARCH’s patented plasma technology, the FlexTRAK®-SHS is our most advanced automated plasma system. The FlexTRAK®-SHS features a large capacity F3-S chamber, which provides high uniformity and increased productivity. This plasma system is designed for high throughput processing (up to 700 strips per hour) of lead frame strips, laminated substrates, and other strip-type electronic components.

The FlexTRAK®-SHS has incorporated a magazine-splitting capability as a new material management solution to increase process flexibility and reduce staging times post-plasma process.

The FlexTRAK®-SHS also includes SECS/GEM capabilities to address the latest industry demands in factory automation.

In addition to increasing output, manufacturers are being challenged with new packaging requirements and capabilities. How is Nordson MARCH FlexTRAK-SHS enabling customers to expand their product development capabilities? 

The FlexTRAK®-SHS provides manufacturers with the ability to expand product development while simultaneously improving process efficiencies. By integrating Nordson MARCH’s patented plasma technology with advanced automation and material handling, the FlexTRAK®-SHS delivers the effectiveness and consistency needed to maximize yield and improve product performance.

The FlexTRAK®-SHS features an advanced integrated strip-handling system. The material handling capabilities are designed to cater to challenging strip-based products with “Zero Gap” strip transfer technology to accommodate thin, warped strips and ensure jam-free transport of high-value strips.

In a dynamic advanced packaging market, manufacturers need solutions that can adapt to changing needs. What are the key benefits of the Nordson MARCH FlexTRAK-SHS to accommodate customers’ diverse and changing application needs?

The FlexTRAK®-SHS was built to be able to accommodate diverse and changing application needs.

The FlexTRAK®-SHS has On the Electrode (OTE) process capability with a novel lift mechanism to expand process capabilities. A large F3-S process chamber provides the ability to accommodate increasingly larger strip sizes while on the automation side sophisticated jam detection and mitigation capabilities help to increase yield and reduce downtime.

The FlexTRAK®-SHS has a large capacity F3-S chamber with a three-axis symmetrical plasma chamber to ensure that all positions of the product are treated uniformly while tight controls over all process parameters ensure repeatable results from cycle to cycle.

The FlexTRAK®-SHS with its configurable process chamber and versatile treatment modes enable key applications such as pre-wire bond plasma treatment on semiconductor package substrates and lead frames; pre-underfill plasma treatment on flip-chip packages; pre-mold plasma treatment on semiconductor package substrates and lead frames; plasma treatment for improved adhesion on semiconductor package substrates and lead frames and removal/reduction of oxidation on lead frames.

Where can our readers go to find out more?

For more information, contact Nordson MARCH at [email protected] or visit the website at nordsonmarch.com.

About Al Bousetta

Al joined Nordson MARCH in April 2019 as director of marketing to drive product development and new marketing programs to promote Nordson MARCH’s advanced plasma treatment systems for the semiconductor, printed circuit board, life science and other related industries.

Prior to joining Nordson MARCH, Al worked in various technical and marketing roles with Nanometrics, Lam Research, Swagelok, and KLA.

Al holds a doctorate in joint physics and electrical engineering from the University of Manchester Institute of Technology (UMIST) in the UK and has worked in semiconductor processes, applications, and marketing for more than 25 years. Al is the author and coauthor of more than 30 technical papers in III-V and Si materials growth and process control.

 

 

Disclaimer: The views expressed here are those of the interviewee and do not necessarily represent the views of AZoM.com Limited (T/A) AZoNetwork, the owner and operator of this website. This disclaimer forms part of the Terms and Conditions of use of this website.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Nordson MARCH. (2020, November 27). Plasma Treatment In the Manufacturing Process. AZoM. Retrieved on April 24, 2024 from https://www.azom.com/article.aspx?ArticleID=18351.

  • MLA

    Nordson MARCH. "Plasma Treatment In the Manufacturing Process". AZoM. 24 April 2024. <https://www.azom.com/article.aspx?ArticleID=18351>.

  • Chicago

    Nordson MARCH. "Plasma Treatment In the Manufacturing Process". AZoM. https://www.azom.com/article.aspx?ArticleID=18351. (accessed April 24, 2024).

  • Harvard

    Nordson MARCH. 2020. Plasma Treatment In the Manufacturing Process. AZoM, viewed 24 April 2024, https://www.azom.com/article.aspx?ArticleID=18351.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this article?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.