Insights from industry

Maximize Performance and Optimizes Costs with Plasma Treatment

Nordson MARCH Addresses the Ways Plasma Treatment during Fan-out Wafer and Fan-out Panel-Level Semiconductor Packaging Maximizes Performance and Optimizes Costs

In recent years, there has been an increased focus on fan-out wafer-level packaging (FOWLP) due to the smaller packaging size requirements for smartphones and handheld electronic devices. Can you explain FOWLP and fan-out panel-level packaging (FOPLP) and why plasma treatment is needed for these semiconductor applications?

FOWLP/FOPLP is the process where known good dies (KGDs) from the original silicon wafer are selected and accurately attached to a wafer or panel-size substrate, over-molded with an epoxy compound, and then cured to create what is called a reconstituted wafer. Plasma treatment is needed to ensure that the surface is contamination-free, for surface treatment to aid the attachment process, and to remove photoresist or other organic residues.

There are two options for accomplishing the FOWLP/FOPLP process. In the mold-first option, the dies are attached to a film and then molded. Once the dies are molded, the supporting film is removed and redistribution layers (RDL) to fan-out interconnections from the die to selected regions outside the die area are formed. The other option is RDL first, where the redistribution layers are formed on a carrier before the dies are attached. In this process flow, a laser debonding process is used to separate the carrier from the reconstituted wafer. The final steps for both options are wafer- or panel-level bumping and singulation.

Figure 1 shows the RDL-first process flow and the steps where plasma treatment is needed. In this flow, the first plasma treatment is applied after the RDL patterning process to remove photoresist residues (descum process) and induce surface hydrophilicity for the Cu plating process. The next plasma treatment step is before the die attach process to make sure the surface is contamination-free before the dies are attached. Once the dies are attached, a plasma treatment is applied in the pre-molding step to prepare and activate the surface to improve the mold adhesion and the flow of the mold material around the attached dies. After the molding step, another plasma treatment is applied to remove sacrificial layer residues left after the debonding of the wafer from the carrier. The same plasma treatment is used for the cleaning of metal pads and lines and the removal of organic and oxide contamination to improve adhesion of bumps to under bump metallization (UBM).

There are additional steps where plasma treatment is applied, such as in the reduction of stress for very thin substrates, the removal of fluorine and organic residues, and copper oxide reduction.

Figure. 1 RDL-First Process Flow in FOWLP/FOPLP

In FOWLP technologies, some of the wafers are extremely thin or warped. They are being mechanically supported by a variety of frames and sizes. How is Nordson MARCH addressing the challenge of handling these carriers and treating such thin wafers?

Nordson MARCH has developed the SPHERE™ Series plasma treatment systems that are capable of handling 300mm wafers, 300mm wafers on a supporting frame, and large panels for FOWLP/FOPLP.

The StratoSPHERE™ system handles 200mm and 300mm wafers as well as 200mm wafers on a frame. The MesoSPHERE™ system has a much larger chamber which is capable of handling thin 300mm wafers on a frame and larger panels up to 480mmx480mm in size.

All SPHERE products are designed to provide key plasma treatment performance requirements. A patented three-axis symmetrical plasma chamber ensures that all areas of the wafer or panel are treated uniformly and provides exceptional etch uniformity and process repeatability, achieving >95% wafer-to-wafer uniformity and >90% within-wafer uniformity. Depending on the plasma recipe conditions, such as electrode configuration, power, and processing time, average etch rates with >3000 Å/min are achieved.

One key driver for panel-level packaging is the lower cost achieved by increasing the panel size, so more die can be attached and packaged. How does Nordson MARCH help customers address their cost reduction roadmap?

Panel-level packaging is a hot topic for FOWLP in the advanced packaging world. Currently, high-volume manufacturing for FOWLP is mostly based on 200 and 300mm wafers. It is estimated that if the industry moves to next-gen panels (>470mm size), the cost savings will more than double. However, there are challenges with large panel processing, such as induced stress and warpage, so this is still being researched and may take time to move to high-volume production.

To handle panels up to 480x480mm in size, Nordson MARCH developed the MesoSPHERE plasma treatment system, and recently our team of engineers developed a system with a much larger plasma chamber that can provide plasma treatment for panels as large as 650x650mm. The system is currently under evaluation at one of our customer sites.

Besides being able to handle large panels, the MesoSPHERE system helps customers increase productivity and lower packaging costs. Performance is maintained by Nordson MARCH's SMART Tune™ management system which provides closed-loop plasma control that optimizes the RF system and minimizes tuning time.

Nordson MARCH incorporates a unique plasma confinement technology in the chamber that uses a confinement ring with the same diameter as the wafer to isolate plasma distribution directly above the wafer. This minimizes undesired secondary reactions to the bottom of the wafer and the adhesive tape used to secure the wafer on the frame. Process temperatures can be kept low because the ring increases etch rate capability without increasing the electrode temperature or adding bias to the chuck. An innovative handling system transfers round or square substrates and frame or bonded carriers. The modular design allows capacity increase on a per plasma chamber basis while equipment front end module (EFEM) integration supports from 1 to 4 plasma chambers.

What are the key applications for plasma treatment of FOWLP and FOPLP packages?

Key applications for plasma treatment of fan-out wafer or panel-level packages are cleaning of the substrate or panel surface to make sure it is contamination-free before the die attach process; surface preparation and activation in the pre-molding process to greatly improve mold adhesion; the removal of sacrificial layer residues post wafer de-bonding; descum or removal of photoresist residues and pre-treatment to induce surface hydrophilicity for the Cu plating process; the cleaning of metal pads and lines; and treatment prior to die bumping to reduce organic and oxide contamination and improve adhesion of the bumps to the under bump metallization (UBM) layer.

Where can our readers go to find out more?

For more information, contact Nordson MARCH at [email protected] or visit the website at www.nordsonmarch.com.

About Al Bousetta

Al joined Nordson MARCH in April 2019 as director of marketing to drive product development and new marketing programs to promote Nordson MARCH’s advanced plasma treatment systems for the semiconductor, printed circuit board, life science, and other related industries.

Prior to joining Nordson MARCH, Al worked in various technical and marketing roles with Nanometrics, Lam Research, Swagelok, and KLA.

Al holds a doctorate in joint physics and electrical engineering from the University of Manchester Institute of Technology (UMIST) in the UK and has worked in semiconductor processes, applications, and marketing for more than 25 years. Al is the author and coauthor of more than 30 technical papers in III-V and Si materials growth and process control.

 

Disclaimer: The views expressed here are those of the interviewee and do not necessarily represent the views of AZoM.com Limited (T/A) AZoNetwork, the owner and operator of this website. This disclaimer forms part of the Terms and Conditions of use of this website.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Nordson MARCH. (2020, November 27). Maximize Performance and Optimizes Costs with Plasma Treatment. AZoM. Retrieved on April 28, 2024 from https://www.azom.com/article.aspx?ArticleID=18432.

  • MLA

    Nordson MARCH. "Maximize Performance and Optimizes Costs with Plasma Treatment". AZoM. 28 April 2024. <https://www.azom.com/article.aspx?ArticleID=18432>.

  • Chicago

    Nordson MARCH. "Maximize Performance and Optimizes Costs with Plasma Treatment". AZoM. https://www.azom.com/article.aspx?ArticleID=18432. (accessed April 28, 2024).

  • Harvard

    Nordson MARCH. 2020. Maximize Performance and Optimizes Costs with Plasma Treatment. AZoM, viewed 28 April 2024, https://www.azom.com/article.aspx?ArticleID=18432.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this article?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.