Nissan Chemical Industries Partners with SEMATECH

SEMATECH, a global consortium of chipmakers, and Nissan Chemical Industries, Ltd., (NCI) a Japanese chemical company supplying cutting-edge products in fields including electronic materials, today announced it has joined SEMATECH’s Resist Materials and Development Center (RMDC) at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

NCI will collaborate with SEMATECH engineers on advanced adhesion enhancing materials in extreme ultraviolet (EUV) lithography. Specific areas include reduction of line edge roughness (LER), elimination of pattern collapse in images below 22 nm, and ultimate resolution of new resists.

“We are excited to partner with SEMATECH to develop and commercialize EUVL technology for the manufacturing of future nanoelectronics devices,” said Yutaka Niitsu, senior managing director, Electronic Materials Division, NCI. “This new partnership combines NCI’s experience in adhesion enhancing materials and SEMATECH’s strengths in the development of fundamental resist processes that are critical for EUV infrastructure.”

“Our partnership with NCI will help strengthen the RMDC’s ability to address critical issues in adhesion enhancing materials,” said John Warlaumont, vice president of Advanced Technologies at SEMATECH “This new collaboration illustrates the effectiveness of SEMATECH’s efforts to include a broader range of industry participants in the search for new solutions to our common technology challenges.”

“The leading-edge research in EUVL technology at CNSE’s Albany NanoTech Complex will be strengthened by the addition of NCI,” said Richard Brilla, CNSE vice president for strategy, alliances and consortia. “This further builds the world-class capabilities at the UAlbany NanoCollege, and demonstrates the success of the SEMATECH-CNSE partnership in establishing collaborations to accelerate the advanced technologies driving the nanoelectronics industry.”

At the RMDC, leading resist and materials suppliers participate in focused, cooperative R&D with SEMATECH member companies. Together, the RMDC provides the hardware and research expertise required by materials suppliers and member companies to develop EUV resist processes that meet the stringent resolution, linewidth roughness, and sensitivity specifications needed for EUV insertion at member companies.

Source: http://www.nissanchem.co.jp/

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.